what will happen if i drive 1 in one signal named as request in this code … sync and async at the same time in same module / program ?
please see this code , then you will get an good idea about what i am trying to ask ?
interface arb_if(input bit clk);
logic [1:0] grant, request ;
logic rst;
clocking cb @(posedge clk);
output request ;
input grant;
endclocking
modport TEST (output request, rst,input grant, clk);
end interface
module test(arb_if.TEST t1);
initial begin
arb_if.cb.request <= 2’b01; //sync
arb_if.request <= 2’b01; //async
end
endmodule