Does $bitstoreal function work on signed bit too?

I have the following code:
real xi = $bitstoreal(in_trx.xi);

Where in_trx.xi is tpe of:
bit signed [15:0]

For in_trx.xi = FFFF
The result I got for xi is 0.00000000…

Why?

In reply to saritr:

Use $itor instead of $bitstoreal.

Then go read the manual.