Do i need to include libraries and DPI every time i create new project in UVM?

Do i need to include libraries and DPI every time i create new project in UVM?

Because every time i create a project i have to include UVM-1.1d library in vlog command and -sv_lib in vsim command.

By the way i am using questa sim 10.4 in windows 2007.

Thanks

Regards
Kranthi

In reply to kranthi445:

This forum is not for tool specific help, but you should never have to add the UVM source code or DPI libraries to your commend line. Most tools do this automatically for you. The one time you compile the UVM yourself, the automation stops. The only way to get the automation back is to clean out your work directories.