Deferred assertion

Hi,

In the deferred assertion the sampled values are from the active region or depend upon the assert statement(assert #0, assert final) it will sample the values in the observed and preponed region?

Thanks & Regards,
Shriramvaraprasad B.

In reply to SHRI12326:
Deffered assertions deal with when the reporting is done.
From my SVA Handbook 4th Edition, 2016 ISBN 978-1518681448
4.6.2.1 Deferred assertion reporting
[1] When a deferred assertion declared with assert #0 passes or fails, the action block is not executed immediately. Instead, the action block subroutine call (or $error, if an assert or assume fails and no action_block is present) and the current values of its input arguments are placed in a deferred assertion report queue associated with the currently executing process. Such a call is said to be a pending assertion report. See Figure 4.6.2.1 for a view of the queue for the deferred action block.
If a deferred assertion flush point (see below and Appendix B) is reached in a process, its deferred assertion report queue is cleared. Any pending assertion reports will not be executed. In the Observed region (for deferred assertion), or Postponed region (for final assertion) of each simulation time step, each pending assertion report that has not been flushed from its queue shall mature, or be confirmed for reporting. Once a report matures, it may no longer be flushed. Then the associated subroutine call (or $error, if the assertion fails and no action block is present (see 4.1.3) is executed in the Observed region for deferred assertion, or in the Postponed region for the final assertion, and the pending assertion report is cleared from the appropriate process’s deferred assertion report queue.

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us


In reply to ben@SystemVerilog.us:

Hi ben,

Could you please explain the concept with a example.

Thanks & Regards,
Shriramvaraprasad B.

In reply to SHRI12326:

In reply to ben@SystemVerilog.us:
Hi ben,
Could you please explain the concept with a example.
Thanks & Regards,
Shriramvaraprasad B.

I am providing in the following link 3 pages of my SVA Handbook 4th Edition that explains te concept with a complete example.
http://systemverilog.us/vf/deferred_assertion.pdf

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us


In reply to ben@SystemVerilog.us:

Thanks Ben.

Regards,
Shriramvaraprasad B.