Crossing transition coverage : Is it possible to cross a transition coverage with anoth item coverage?

I have a cover point :
type_trans : coverpoint type_trans_s_var iff(type_trans_var_en == 1)
{
bins I_to_I = (0=>0) ;
bins I_to_P = (0=>1) ;
}

I need to check that the above transitions happen under a variety of different run mode combinations of mode0 and mode1 :
cov_mode0 : coverpoint mode_0_var iff(mode0_en==1)
{
bins mode0_0 = {0};
bins mode0_1 = {1};
bins mode0_2 = {2};
}

cov_mode1 : coverpoint mode_1_var iff(mode1_en==1)
{
bins mode1_0 = {0};
bins mode1_1 = {1};
bins mode1_2 = {2};
}

What I need is a cross coverage of the above 3 values. So, I have this cross coverage :
cross_cov_point : cross cov_mode0,cov_mode1,type_tras
{
}

Is the above valid ? I am not seeing any coverage on thh cross even though all the 3 individual coverpoints show coverage.

In reply to Romi:

Is mode0_en, mode1_en are mutually exclusive? If yes, then i don’t think you can get any cross coverage.

In reply to Naven8:

No, none of them is mutually exclusive. All enables are switched on. By your comment, I understand that crossing transition coverage is possible.