In reply to jcraft:
Thankyou very much for this advice! I have tried to do as you said, creating some more specific ranges of data with some sized bins- but I am still getting the same error. Is this more along the right lines?
covergroup data_cov;
coverpoint s_axis_data_tdata {
//bins all_zeros_bin[32] = {32'b0};
//bins all_ones_bin[32] = {32'b1};
bins data_range_real_a[64] = {['h01:'hFF]};
bins data_range_real_b[64] = {['h1FF:'hFFFF]};
bins data_range_real_c[64] = {['h1FFFF: 'hFFFFFF]};
//bins others[32] = default;
}
endgroup
data_cov dc; //create instance of data coverage
initial begin : coverage
dc = new();
forever begin @(posedge clk);
dc.sample();
end
end : coverage
function bit [64:0] get_data(); //contains random stimulus for data input
bit [64:0] data_rand;
data_rand = {$urandom(), $urandom()};
return data_rand;
endfunction : get_data