Hello,
I have a query for usage of ! operator to the coverpoint in bin definition
In following coverpoint bin definition, !item is being singled out as illegal.
module test;
bit [3:0] txn_type;
bit is_present;
covergroup cg;
coverpoint txn_type {
bins T0 = {0};
bins T1 = {1};
bins T2 = {2} with (((item || (!item)) && is_present) == 1);
}
endgroup
initial begin
$display("\n\n__DONE__\n\n");
end
endmodule
I am getting the following error:
Error-[TCF-IOTE] Illegal operator to expression
test.sv, 8
“txn_type_cp”
Operator ‘!’ cannot be applied to an expression of this type.
Any insight on what might be wrong here?
Thanks,
Pushkar