Constraint array index cyclic unsolvable error

Hi,

I have below constraint code example:

constraint c_ccs_index {
    ccs_index inside {[0:(state.ccs_buffer_q[ccs_buffer_index].ccs_num - 1)]};
}
constraint c_size {
    size == state.ccs_buffer_q[ccs_buffer_index].ccs_q[ccs_index].data_q.size();
}

State is a global handle, and ccs_buffer_index is input.
I make sure ccs_buffer_q is already generated before solving above constraint.

But VCS reports a run-time error:
Error-[VNST-AICUE] Constraint array index cyclic unsolvable error
The solver will not solve for array ‘state.ccs_buffer_q[0].ccs_q’ indexed by random variable ‘[ccs_index]’.
The solving of the random index is dependent on the random array itself.
Please try to modify the constraints including the index variable.

Why does this constraint error come out?

In reply to xigen.long:

The LRM does not allow random array variables to be selectively indexed by another random variable. But even if it did, randomly sized arrays need to have their sizes chosen first before any other constraints involving those array elements.

You would need to show the complete declaration of all variables involved in the randomization.