Connection between sequence and sequencer

In my environment my sequencer has only constructor method and i have not provide any connection between sequencer and sequence.Then how sequencer provide sequence to driver without any connection between sequence and sequencer?Is it any internal connection between them? Please explain this.

The start() method assigns the sequencer pointer to a sequencer handle called m_sequencer within the sequence and then calls the body task within the sequence.

Usually in the test:

some_seq.start(env.some_agent.m_sequencer);