Connection between multiple analysis port to single analysis import

UVM explains that a single analysis port can be connected to multiple analysis imports/exports.
But is there any explanation when multiple analysis ports are connected to a single analysis imports/exports?

Thanks,

In reply to verif_learner:

There is nothing preventing multiple analysis ports from being connected to a single analysis export. But in most situations, the analysis export wants to know which port the transaction is coming from. Rather than having to set a field in the transaction object before writing it, and then having the subscriber check the field, it’s easier just to have separate connections to different exports.

In reply to dave_59:

Hi Dave,

I have a doubt here. If we have multiple analysis ports connected to a single analysis export, won’t there be a race condition if both the connected ports send transaction at the same time?
If yes, then we might lose transaction from one of the ports right?

Thanks,
Rajesh

In reply to rajesh_28:

That problem exists regardless of having a single export or separate exports into a component. A fifo(s) can be helpful in those cases.