Configuration of sequences started from a virtual sequence

In reply to miketrim:
Doing this you have to use the p_sequencer concept, because the sequence has no Position in the testbench hierarchy. This Looks like this:

class my_seq extends uvm_sequence #(instruction);
  `uvm_object_utils(my_seq)
  `uvm_declare_p_sequencer(my_sequencer)
  instruction minst;
  ....
  task pre_start;
    uvm_config_db #(my_config)::get( p_sequencer, "",
                                     "config", config);
  endtask

  task body;
    ...
    minst.randomize() with { max_data == config.max; };
  endtask
    ....
endclass