Complete List of System Functions or System Tasks with Descriptions

Where can I find a complete list of all the system functions/tasks used in Verilog/SystemVerilog? I tried to look at the Verilog LRM and SystemVerilog LRM but I can’t find it there. Are these tool-specific, which means I must refer to the tool’s manual?

Example of the system functions/tasks that I meant was those tasks starting with the dollar ($) sign such as $info(), $past(), $urandom_range(), $assertoff(), $assertcontrol(), and many other things. I would like to see the complete list and description of each.

In reply to Reuben:

In the 1800-2017 LRM, sections 20. Utility system tasks and system functions and 21. Input/output system tasks and system functions contain nearly all the system functions (In reality there are no system tasks; legacy Verilog did not allow functions to be called as a bare statement with no return value, so they had be called tasks).

The ‘$’ in front of a name was Verilog’s way of introducing identifiers without reserving a new keyword. End users and tool developers use the PLI to introduce other system functions. You would need to look at specific tool documentation for a list of those.