Colors to indicate different uvm messages

I was wondering if uvm messages can be displayed on terminal with different colors. For example:

  1. Red color for uvm_error and uvm_fatal
  2. Orange color for `uvm_warning

It becomes easier to debug when different colors are displayed onto the terminal. Help appreciated! Thanks!

In reply to piyushkamalakar:

https://verificationacademy.com/forums/downloads/color-all-messages-coming-specific-uvmenv-your-testbench