Capturing transactiopn fron uvm vip (i.e. sequencer,monitor and driver) for vmm score board

I have a vip in uvm world. It’s contain driver, monitor and sequencer.
I also have score board which sits in vmm world.
Iwan to send transaction fron monitor to score board which is part of vmm world.
Is there a way to send transaction from monitor to score board?

Hi,

You need to use the VMM-UVM interoperability kit.

Otherwise you can define one uvm_component to mimic the UVM-VMM interoperability functionality.

Thanks,
Vaibhav

In reply to Vaibhav Tekale:

That I know , It’e required inter-pol kit ,
Making more specific, Will It be done by call backs method ?

or Is it need to be connect analysis port of uvm agent to vmm channel of score board by tlm2channel adapter broadcasting transaction ?

In reply to skumarsamal:

You’ll need a component that has a uvm_analysis_export on the UVM side to get the transaction from the monitor. Use a uvm_subscriber. The write() method of the subscriber will convert the transaction to VMM and put it into a vmm_channel. Your VMM scoreboard can repeatedly do blocking get() calls from the channel so when a transaction shows up the scoreboard will get it and then you can do whatever you want with it.