Can i start parallel sequences on different sequencers in a virtual sequence?

Hi,

I am having two instances of the same agent and i am using virtual sequence approach. So if i wanted to start sequences on both the sequencers at the same time to have the driving happening at the same time,how can i achieve this?

i have my virtual sequence coding as below:

//VIRTUAL SEQUENCE BASE CLASS
class base_virt;
  vir_sequencer vseqr;
  tx_sequencer seqr_1,seqr_2;

  task body;
    assert($cast(vseqr,m_sequencer))
    else `uvm_fatal(" ");

    seqr_1=vseqr.seqr_1;
    seqr_2=vseqr.seqr_2;
  endtask

endclass


//SANITY_VIRTUAL_SEQUENCE
class sanity_vir_seq extends base_virt;
  sequence s1,s2;

  task body;
  //Creating the sequence
  fork
    s1.start(seqr_1);      //When i tried doing this in a fork join, only the second sequence was running.
    s2.start(seqr_2);
  join
  endtask
endclass

Please help. Thanks in advance.

In reply to adharshh:

This should have worked, but you are not showing nearly enough code to know what might be going wrong. You don’t show how anything gets constructed.