Body Definition Undefined

I am trying to drive a basic sequence via the sequencer. But when I simulate, The simulation hangs as
UVM_WARNING @timestamp: top.env.subenv.agent.sequencer@@my_seq (uvm_sequence_base] Body definition undefined.

And post this, it doesn’t give any error or timeout or it doesn’t even come out of the simulation.
I have to force kill the simulation to exit.

I am suspecting 2 scenarios: correct me if I am wrong.

  1. Probably there might be mis connection in interface where driver is unable to drive the data.
  2. problem with the sequence itself.

Kindly someone help me to resolve this.

Regards,
MS

In reply to Manoj Simha:

Have you defined a body() task for your sequence?

In reply to cgales:

Thnx for the reply Mr.Gales.
Yes I have defined the task body(); with some events coming from the config class.
and set_drain_time is also set for 1000, even then it is not being exited after 1000ns.

I also tried to comment out the events just as an empty body, even though it gave the same warning and my simulation hangs thereafter.

Best Regards,
Manoj

In reply to Manoj Simha:

You will need to post the code so that we can provide more insight.

Do you have a “super.body” in your sequence body task? If yes, then that is probably the reason your are getting the warning above if you are extending from a uvm_sequence class.

In reply to ayehia:

Hi ayehia,

Yes, I know that I have super.body() in my base sequence which is the clear violation of oops polymorph… But it is just a warning and guess it can be ignorable. Even then it shouldn’t hang my simulation when it starts to drive the data through the interface is my take.