Block level and top level scoreboard

Hi,

If I have a block level monitor that writes the AP of the agent and the env connects the agent to the block level scoreboard, can I reuse the same monitor/agent at the top level for a top level scoreboard?
Essentially can one monitor/agent connect to two different scoreboards? Multiple subscribers to the same analysis port?

If so, how will the connection to the top level scoreboard look like?

For example :
At the agent level :
mon.analysis_port.connect(this.analysis_port);

At the env level : here sb is the “block” level scoreboard.
i_agt.analysis_port.connect(sb.before_export);

At the env level : sb_top is the “top” level scoreboard

i_agt.analysis_port.connect(sb_top.before_export);

Please point me to a usage case?

Thanks

In reply to UVM_beginner:

To an anyalysis port you can connect any numbers of component exports. The question is if you need the same scorebboard on different levels at the sme time.
Your description is not very clear.

In reply to chr_sue:

Hi, I do not need the “same” scoreboard. I need the same agent, but for a block level scoreboard and a “different” top level scoreboard.

If it is possible, then is it the responsibility of the write function inside either scoreboards to keep “sampling” the monitor AP data and use it as needed?

In reply to UVM_beginner:

You can connect to an analysis_port any numbers of analysis_exports. There is no limitation.

In reply to chr_sue:

Ok, thank you.