In reply to Mrutunjay:
Ok, I think I got it. You are prioritizing signal B. So simply put signal B before $rose(A) inside that assertion. I believe it’ll work.
clocking df_clk @(posedge clk);
endclocking
default clocking df_clk;
property p1;
$rose(start)|-> ##[4:15] $fell(B) ##0 !B[*1:$] ##1 B ##0 $rose(A);
endproperty
assert property (p1);
Now If you are focusing on the first appearance of $fell(B) in that range, then you can think of using the first_match() construct.