In reply to ben@SystemVerilog.us:
In reply to Anudeep J:
assert_stable: assert property (@(posedge clk) ##1 $fell(a) |->
($stable(b) throughout c[->1]));
Hi Ben,
What is the use of ##1, in the above property? Isn’t that just moving the assertion success just clock ahead?