In reply to ben@SystemVerilog.us:
Hi Ben
What is the problem in original code?
##1 rose(req) |-> strong(vld[=10] ##[1:] $rose(ack));
Thank you!
In reply to ben@SystemVerilog.us:
Hi Ben
What is the problem in original code?
##1 rose(req) |-> strong(vld[=10] ##[1:] $rose(ack));
Thank you!