Assertion for checking address stability

Hi,

I have assertion which needs to check if address is stable until signal PREADY is set to 1, rising edge.
This is the code:
property stable_paddr;
@(posedge PCLK)
disable iff (!PRESETn)
($changed(PADDR) && PWRITE) |=> ($stable(PADDR) until $rose(PREADY));
endproperty

The problem is that asserting is finished only on falling edge, and i need it to be done on rising edge.
How can i make it on rising edge and is there another way do check stability in this time interval.

In reply to Kristina:

I do not really understand what you are describing. You are sampling your property on the rising edge and you are using $rose which detects also a rising edge. Why should it point to the falling edge.