System verilog "this" keyword

I have read this line(‘this’ used to unambiguous refer to non static property or method of the current instance…)
Here non static word is use, I want to know if I want to refer static property or method of current class so how can I refer?
And by default method is static so I can refer only automatic method is it correct?

In reply to Vrajesh_Rojivadiya:

The keyword static for class properties has a slightly different meaning from the lifetime of variables declared in procedural code. A static class property does have a static lifetime associated with the class type, but a non-static class property does not have an automatic lifetime, it has a dynamic lifetime associated with a class instance.

The way you reference static class properties is using the :: scope operator

className::propertyName

The way you reference non-static class properties from a class instance is using the . select operator

classVariable.propertyName

When you are inside the scope of a non-static class method, this refers to an implicit class variable holding a handle to the specific class instance the method was called from.

See my DVCon paper “The life of a SystemVerilog variable” for more details about lifetimes