How can you get an assertion pass and fail in the same time slot?

Found this question in Program block blog asked by Dave.
Quick quiz: How can you get an assertion pass and fail in the same time slot?
assertion result is known in reactive region as per system verilog event scheduling.

so if the assertion is written in program block then will it sample and evaluate and give pass/fail result in reactive region?

In reply to to_learn_uvm:

[quote] assertion result is known in reactive region as per system verilog event scheduling.

But all signals are sampled in the Preponed region, just prior to the clocking event.
Since the assertion is based on those already sampled values, I don’t see how the region of the evaluation makes a difference. See my post
https://verificationacademy.com/forums/systemverilog/sva-evaluation
Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr

  • SVA Handbook 4th Edition, 2016 ISBN 978-1518681448
  • A Pragmatic Approach to VMM Adoption 2006 ISBN 0-9705394-9-5
  • Using PSL/SUGAR for Formal and Dynamic Verification 2nd Edition, 2004, ISBN 0-9705394-6-0
  • Real Chip Design and Verification Using Verilog and VHDL, 2002 isbn 978-1539769712
  • Component Design by Example ", 2001 ISBN 0-9705394-0-1
  • VHDL Coding Styles and Methodologies, 2nd Edition, 1999 ISBN 0-7923-8474-1
  • VHDL Answers to Frequently Asked Questions, 2nd Edition ISBN 0-7923-8115

  1. SVA Alternative for Complex Assertions
    Verification Horizons - March 2018 Issue | Verification Academy
  2. SVA: Package for dynamic and range delays and repeats | Verification Academy
  3. SVA in a UVM Class-based Environment
    SVA in a UVM Class-based Environment | Verification Horizons | Verification Academy