Covergroup bins question

I want to have a bin like this.

bins mid_ranges = {[A:B], [C:D], [E:F]…}

I want it to create 1 bin for each of the groupings [A:B] etc.

Is it possible?

In reply to sharatk:
You need to move up a level and convert your bins to coverpoints
One way:

b1 : coverpoint myvar { bins bin = ([A:B]);}
b2 : coverpoint myvar { bins bin = ([C:D]);}
b3 : coverpoint myvar { bins bin = ([E:F]);}

or another

b1 : coverpoint myver inside {[A:B]} { bins bin = (1);}
b2 : coverpoint myvar inside {[C:D]} { bins bin = (1);}
b3 : coverpoint myvar inside {[E:F]} { bins bin = (1);}

Got it. I was trying to accomplish it in 1 line. Otherwise I had this in my mind.

bins mid_ranges_1 = {[A:B]}
bins mid_ranges_2 = {[C:D]}
So on…
Well that way I can still do in 1 coverpoint, but have to write whole bunch of addresses in multiple lines. From your reply it seems that it isnt possible.

Thanks for answering. Appreciate your help.

In reply to sharatk:
That way would also work. Some people just try to accomplish too much with the bin construct. But any way will take multiple lines.