Bind Statement with SystemVerilog Interface (Assertions)

Can you declare a bind statement inside a SystemVerilog interface?

If I have a module with assertions can I used the bind statement within the interface file?

In reply to GChan:
Creating a new instance using the bind construct is subject to the same semantics had you explicitly created the instance inside that other instance. Interfaces may contain instances of other interfaces, but not modules. Modules may may contain instances of other modules or interfaces.

A bind construct itself may be located in an interface or module.

In reply to dave_59:
You can also bind a SystemVerilog checker to an interface or a module.
From my SVA Handbook 4th Edition, 2016 ISBN 978-1518681448 I demonstrate that feature. See image below.

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us