Hi, I am trying to write an assume as per following assumption:
> for every change in a data bus there should be only one corresponding valid signal ($rose), something like the image added. I tried writing this way but it is not working:
sequence valid_cond;
@(posedge clk)
$changed(Data) ##[0:$] $changed(Data);
endsequence
valid_assume: assume property (
@(posedge clk) disable iff(disable)
1'b1 |-> $rose(valid) and valid_cond
)
Tried above with throughout also.
Tool says constraint conflict.
Is there any alternative to this?
More info:
This valid can come anytime during the complete duration but we should definitely see one and only one valid rise