Why set_type_override() is always called after super.build()

Is it because uvm component structure need to be built first?

In reply to aaaaaa:

Factory overrides need to be called before creating any objects that have type/instance overrides. So I do not understand your question.