Why 'payloadsegment[0]' is not a legal c identifier name,but payloadseqment_0_ ?!

in order to watch UVM_details windows in questasim10.2c/10.2b,vlog add questa_uvm_pkg options.

Makefile as follows:

questa_uvm_pkg=/app/mentor/questasim_10.2c/questasim/verilog_src/

vlog +incdir+(uvm_home)/src (uvm_home)/src/uvm.sv
+incdir+(questa_uvm_pkg) (questa_uvm_pkg)/questa_uvm_pkg.sv

under of simulation,report warning as follows:
questasim/verilog_src/questa_uvm_pkg_1.2/src/questa_recorder.svh(364) @4080840000: reporter [ILLEGALNAME] ‘payloadsegment[0]’ is not a legal c identifier name.change to
questasim/verilog_src/questa_uvm_pkg_1.2/src/questa_recorder.svh(366) @4080840000: reporter [ILLEGALNAME]
‘payloadsegment_0_’ Attibutes mus be named as a legal cidentifier.

and in monitor.svh,
foreach(payloadsegment[i])
payloadsegment[i]=data[i];

and in UVM_details window, as sequence is added to waves,we sees
randomized sequence item ,payloadseqment_0_,payloadseqment_1_,payloadseqment_2_。

why payloadsegment[i] is not legal c identifier?! but if the same codes rerun in questasim10.1d,above warning not reported.

This warning is generated by Questa’s built-in UVM-aware debug facilities. In order to use the debugging tools, the UVM created paths need the ability to be parsed by the command line. All vendor tools have this problem. You can ignore these warnings if you do not plan to use these debug facilities.

Note that the upcoming UVM 1.2 standard plans to require proper identifier names, not just any string. You will get an error if you do not fix them. See 404

In reply to dave_59:

Hi Dave,

I am using uvm_field_array_int to record sequence item. It shows similar warnings and I am not sure how should I fix it? Does it mean those macro can’t be used in UVM1.2?