Hi,
I found something special difference when I’ve seen .svh and .pkg file.
I think both are the very similarly working such as something get together into the one file.
For example,
In .svh file
`include "packet.sv"
`include "monitor.sv"
`include "sequence.sv"
`include "sequencer.sv"
`include "driver.sv"
and in the pkg file
package example_pkg;
import uvm_pkg::*;
`include "uvm_macros.svh"
`include "example.svh"
endpackage
I think both are working very similarly…
What is the difference between .svh and .pkg?
Can I implement do as the below instead using .svh?
package example_pkg;
import uvm_pkg::*;
`include "uvm_macros.svh"
`include "example.svh"
`include "packet.sv"
`include "monitor.sv"
`include "sequence.sv"
`include "sequencer.sv"
`include "driver.sv"
endpackage