Uvm_reg get_name

Hi,
can anyone over here can provide an example of uvm_reg_get_name function

Thank you

In reply to harshareddy132:

My regsiter model has a register handle with the name ‘rxtx0’. apb_regs is my register model object.
See the code below:

task apb_rgm_default_seq::body();
  `uvm_info(get_type_name(),"default sequence starting", UVM_MEDIUM)
   apb_regs.get_registers(data_regs);
   data_regs.shuffle();
  foreach(data_regs[i]) begin
  // Randomize register content and then update
  if (data_regs[i].get_name() == "rxtx0")
      `uvm_info(get_type_name(), "found rxtx0", UVM_MEDIUM)