Uvm_info error

I’m getting an error for `uvm_info pointing at umm_globals file. I have imported uvm package and included uvm macro file as well. Need help!

import uvm_pkg::*;
`include "uvm_macros.svh"

`uvm_info("VDSL PROPERTY",$sprintf("VDSL THROUGHPUT: computed_cycles %0d   num_cycles %0d",computed_cycles,num_cycles) ,UVM_LOW)

ERROR IN LOG
uvm_root top;
448 |
449 xmelab: *E,CFUNST (/org/seg/tools/eda/cadence/xcelium/19.06.v001/tools/methodology/UVM/CDNS-1.2/sv/src/base/uvm_globals.svh,135|13): Datatype unsupported in constant functions.
450 uvm_coreservice_t cs;
451 |
452 xmelab: *E,CFUNST (/org/seg/tools/eda/cadence/xcelium/19.06.v001/tools/methodology/UVM/CDNS-1.2/sv/src/base/uvm_globals.svh,136|21): Datatype unsupported in constant functions.
453 `uvm_info(“VDSL PROPERTY”,$sprintf(“VDSL THROUGHPUT: computed_cycles %0d num_cycles %0d”,computed_cycles,num_cycles) ,UVM_LOW)
454 |
455 xmelab: *N,CFCALL (PATH/sva_cov.sv,1036|127): Function uvm_report_enabled treated as a constant function because of this call.
456 — ncdbg_exit() has been called

In reply to Nimisha Varadkar:

`uvm_info needs to be used within procedural code.