UVM_FATAL @ 80.000ns: send_request failed to cast sequence item

Hi,

I was trying an example to start a sequence on sequencer. I am getting the below error:
UVM_FATAL @ 80.000ns: uvm_test_top.tb.dspi_reg_env.agent.reg_sequencer [reg_sequencer] send_request failed to cast sequence item

Can someone help me with this please???
Below is my UVM topology.

UVM_INFO @ 0.000ns: reporter [UVMTOP] UVM testbench topology:

Name Type Size Value

uvm_test_top dspi_ipi_reg_rsvd_xces_test - @3472
tb dspi_tb - @3546
dma dma_responder - @4160
rsp_port uvm_analysis_port - @4231
seq_item_port uvm_seq_item_pull_port - @4195
dspi_cov dspi_func_cov - @3893
analysis_imp uvm_analysis_imp - @3927
dspi_reg_env dspi_reg_agnt_env - @3863
agent uvm_agent - @7090
reg_data_driver dspi_reg_data_driver - @8135
rsp_port uvm_analysis_port - @8238
seq_item_port uvm_seq_item_pull_port - @8204
num_sent integral 32 'h0
reg_data_sequencer dspi_reg_data_sequencer - @7597
rsp_export uvm_analysis_export - @7640
seq_item_export uvm_seq_item_pull_imp - @8040
arbitration_queue array 0 -
lock_queue array 0 -
num_last_reqs integral 32 'd1
num_last_rsps integral 32 'd1
reg_driver dspi_reg_driver - @8071
rsp_port uvm_analysis_port - @8140
seq_item_port uvm_seq_item_pull_port - @8106
num_sent integral 32 'h0
reg_sequencer dspi_reg_sequencer - @7123
rsp_export uvm_analysis_export - @7166
seq_item_export uvm_seq_item_pull_imp - @7566
arbitration_queue array 0 -
lock_queue array 0 -
num_last_reqs integral 32 'd1
num_last_rsps integral 32 'd1
is_active uvm_active_passive_enum 1 UVM_ACTIVE
intr_mon dspi_intr_mon - @4262
scoreboard dspi_scoreboard - @3960
item_collected_export_dspi uvm_analysis_imp_dspi - @4129
item_collected_export_ipd uvm_analysis_imp_ipd - @4093
item_collected_export_ipi uvm_analysis_imp_ipi - @4057
item_collected_export_ips uvm_analysis_imp_ips - @4023
disable_scoreboard integral 1 'h0
top_dspi_env dspi_env #(VIF_TYPE) - @3831
initiator_agent[0] uvm_agent - @8317
driver uvm_driver #(REQ,RSP) - @8848
rsp_port uvm_analysis_port - @8917
seq_item_port uvm_seq_item_pull_port - @8883
monitor uvm_monitor - @8955
transaction_collected_port uvm_analysis_port - @8992
sequencer dspi_sequencer - @8379
rsp_export uvm_analysis_export - @8419
seq_item_export uvm_seq_item_pull_imp - @8817
arbitration_queue array 0 -
lock_queue array 0 -
num_last_reqs integral 32 'd1
num_last_rsps integral 32 'd1
is_active uvm_active_passive_enum 1 UVM_ACTIVE
has_monitor integral 1 'h1
target_agent[0] uvm_agent - @8345
is_active uvm_active_passive_enum 1 UVM_PASSIVE
has_monitor integral 1 'h0
agents integral 32 'd1
top_ipd_env ipd_env #(VIF_TYPE) - @3800
target_agent[0] uvm_agent - @9043
monitor uvm_monitor - @9075
transaction_collected_port uvm_analysis_port - @9107
is_active uvm_active_passive_enum 1 UVM_PASSIVE
has_monitor integral 1 'h1
agents integral 32 'd1
top_ipg_env ipg_env #(VIF_TYPE) - @3737
initiator_agent[0] uvm_agent - @9142
driver uvm_driver #(REQ,RSP) - @9690
rsp_port uvm_analysis_port - @9758
seq_item_port uvm_seq_item_pull_port - @9724
monitor uvm_monitor - @9789
transaction_collected_port uvm_analysis_port - @9826
sequencer ipg_sequencer - @9204
rsp_export uvm_analysis_export - @9244
seq_item_export uvm_seq_item_pull_imp - @9644
enable_default_sequence integral 1 'h0
arbitration_queue array 0 -
lock_queue array 0 -
num_last_reqs integral 32 'd1
num_last_rsps integral 32 'd1
is_active uvm_active_passive_enum 1 UVM_ACTIVE
has_monitor integral 1 'h1
target_agent[0] uvm_agent - @9170
is_active uvm_active_passive_enum 1 UVM_PASSIVE
has_monitor integral 1 'h0
agents integral 32 'd1
top_ipi_env ipi_env #(VIF_TYPE) - @3769
target_agent[0] uvm_agent - @9877
monitor uvm_monitor - @9909
transaction_collected_port uvm_analysis_port - @9941
is_active uvm_active_passive_enum 1 UVM_PASSIVE
has_monitor integral 1 'h1
agents integral 32 'd1
top_ips_env uvm_env - @3705
master_agent[0] uvm_agent - @9975
driver uvm_driver #(REQ,RSP) - @10480
rsp_port uvm_analysis_port - @10549
seq_item_port uvm_seq_item_pull_port - @10515
ips_invalid_x integral 32 'h0
ips_data_width integral 32 'h20
ips_byte_swap integral 32 'h0
lsb_nc integral 32 'h1
little_endian integral 32 'h0
monitor uvm_monitor - @10580
transaction_collected_port uvm_analysis_port - @10622
check_xz integral 32 'h1
check_data_xz integral 32 'h1
ips_data_width integral 32 'h20
ips_byte_swap integral 32 'h0
lsb_nc integral 32 'h1
little_endian integral 32 'h0
quiesce_check_timeout integral 32 'h0
quiesce_check_phase_name string 12 pre_shutdown
xz_check_phase_name da(string) 9 -
[0] string 13 pre_configure
[1] string 9 configure
[2] string 14 post_configure
[3] string 8 pre_main
[4] string 4 main
[5] string 9 post_main
[6] string 12 pre_shutdown
[7] string 8 shutdown
[8] string 13 post_shutdown
sequencer ips_sequencer - @10011
rsp_export uvm_analysis_export - @10051
seq_item_export uvm_seq_item_pull_imp - @10449
arbitration_queue array 0 -
lock_queue array 0 -
num_last_reqs integral 32 'd1
num_last_rsps integral 32 'd1
is_active uvm_active_passive_enum 1 UVM_ACTIVE
has_monitor integral 1 'h1
master_agents integral 32 'd1
slave_agents integral 32 'd0
time_bomb integral 32 'h3b9aca00
mode_type master_type 32 SLAVE
confg_type dspi_confg_type 32 SP
transfer_type dspi_transfer_type 32 TSB
byte_en integral 32 'h0
num_frames integral 32 'ha
num_frames_slave integral 32 'h0

In reply to vipinkumarnxp:

You will need to post the code that is causing the error along with the definitions of the sequencer, sequence and sequence_item. It would be better if you can post a complete example that reproduces your error.