Uvm_config_db get in component

In any of the components,I am using
uvm_config_db#(int)::get(null,get_full_name(),“variable”,a);
Instead of using,
uvm_config_db#(int)::get(this,“”,“variable”,a);

still both are working,what is the difference between those two?

In reply to boopalakrishnan:

They are the same. The two arguments effectively concatenated. See Demystifying the UVM Configuration Database