UVM unpack method

i am not able to implement the UVm_Unpack and i need reference in that help how to implement it

as i am forming a packet with various properties (variable in it ).

then using pack method in transaction i am packing whole array and sending it across interface , but not able to unpack it and i need help in that .

In reply to milin parekh:

Could you please clarify what you really mean with pack and unpack.
What I understand from your description is you want to define packe.
Is it like this

class packet extends seq_item;

?
Then you do not need the pack and unpack methods.