Uvm subscriber

hi ,can any one explain me how to get a data from 2 or more transaction in uvm_subscriber.
class my_coverage extends uvm_subscriber #(ip_pkt);
now i have one more transaction op_pkt,how to get that in uvm_subscriber.

In reply to manumamatha:
You can simply add another analysis export. The question is if this is a good approach to have a coverage collector which collects different kinds of functional coverage. It might be better to add another coverage collector based on a uvm_subscriber.

In reply to chr_sue:

thank you sir