UVM sequence

I have one sequence, one sequencer, two drivers(master and slave), two agents(where sequencer and drivers are connected separately in two different agents), I’m starting the sequence on sequencer in test run_phase inside fork join. But my sequence is not starting. What may be the reason? Please guide me.