I’m seeing this warning in my log. Any idea?
I’m building the reg_block, reg_map correctly.
Here are rx_reg_block & cache_reg_block are uvm_reg_blocks. pf_bar0_map is the uvm_reg_map and it is built correctly and registers are added to this map.
%W-(…uvm_reg_map.svh:.1314)[RegModel…]{…0.000} map ‘rx_reg_block.cache_reg_block.pf_bar0_map’ does not seem to be initialized correctly, check that the top register model is locked()
I couldn’t figure out what could be the reason. Could someone help?
Thanks,
Thiru
In reply to thirumoorthy2000:
Please check if the last line in the build-function of your reg_block is
lock_model();
In reply to chr_sue:
I’ve like this.
configure(this);
build();
default_map.print(); → this is where that warning is coming.
lock_model();
reset();
In reply to thirumoorthy2000:
I mean the build function like shown in the code fragment below:
class example_reg_block extends uvm_reg_block;
`uvm_object_utils(example_reg_block)
//--------------------------------------------------------------------
virtual function void build();
string s;
lock_model();
endfunction
endclass