UVM : passing handles

Can we pass handle of an agent to another agent through uvm configdb ? if yes is it a good practice?

In reply to AR:

You can pass ahndle of an agent to the config_db and retrieve in any other place. If you want to do this in another agent the question is what your objective is.

In reply to chr_sue:

Thanks !

I am trying to get sequencer handle of the other agent so that I can start seq_items on it from a different agent.

In reply to AR:

I’m not sure what your objective is. But I believe this is not a good idea and there is a limitation. Because a sequencer cannot start any sequence it has to be a sequence which the sequencer can really start.
Doing so you are limiting your options for reusing an agent.