Uvm package

what is the difference between package and include? why dont use `include when import package?

In reply to Nikoo: