UVM

how to resolve this resolve this error
Fatal: (vsim-8451) wb_bfm.sv(10): Virtual interface resolution cannot find a matching instance for ‘virtual spi_if’.

In reply to Sree N :

This forum is not for tool related issues. Please read the documentaion that came with your tool for additional assistance.