Uninitialized virtual interface object

Hi,

I get an error:
The object at dereference depth 1 is being used before it was
constructed/allocated.
Please make sure that the object is allocated before using it.
it failed on line in file:
@(negedge env.spi_agnt.spi_sigs.mon_cb.spi_ce);

I have a file for interfaces,
There I send the interface :
**uvm_config_db #(virtual spir180_if)::set(null, “uvm_test_top.env”, “spir180_sigs”, spir180_sigs);
**
and in my monitor ,I get the interface in build phase:
**if (! uvm_config_db #(virtual spir180_if) :: get (this, “”, “spir180_sigs”, spir180_sigs)) begin
`uvm_error (get_type_name (), “spir180_if interface not found”)
end
**

What is not good that it falls on this error?

In reply to saraTel:

You are limiting the access to your virtual interface to ‘uvm_test_top.env’. But you try to get this in the monitor.
Use the wildcard * in your set command and it will work.

In reply to chr_sue:

thank,

It works