Two monitors inside same agent

hello,
i have two monitor eg. input monitor to capture input and to broadcast to the reference model to predict output and another one as output monitor to collect output from the DUT… can i have these two monitor inside same agent or i should go with multiple agent…
if yes for multiple agent… kindly i would like to know why?

In reply to BharathNL:

Why do you need 2 monitors. The monitor is observing 1 pinlevel interface. On this interface we see data going to the DUT and coming from the DUT. A transaction has been completed after seeing all inputs and ouputs.
A key role in the UVM is: make things as simple as possible.
Adding more components makes your environment more complicated.