Is there any option to set a field in UVM RAL model register by its index?

I have the following REG_CLOCK (uvm ral model) - uart_ral_model.
One of the registers is named uart_intrpt.
I have to set ‘1’ to fields which I indicate by index.
Is there any option to set a field in a UVM RAL register model by its index?

I have already known how to set a filed value by the name field. I want to know if there option to set it by the index field . For examlple uart_ral_model.uartintrpt_reg.#field_index.set(1’b1) .I wrote #field_index to demonstrate what I’m searching for. I know it’s illegal.

*by field_Index I meant (bit offset of the field within a register.

In reply to Sarit8r:

Have you set up uvm_fields for this register? Does this 1-bit you want to set represent a single 1-bit field?