Take a handle of sequencer class in uvm

in uvm when we take a sequencer class instance then we write like
uvm_sequencer #(transfer_data) class name;

why we note take handle like
my_driver driver0;

In reply to zalak patel:

You can do this like for the driver, but then you have to define

class my_sequencer extends uvm_sequencer #(transfer_data).
Commonly the sequencer does not contain application-specific content, but the driver has.
For this reason we normally do not make an extended class for the sequencer.

Hi chr_sue

i am not getting your point. could you explain " application specific content " in brief.

In reply to zalak patel:

In the driver you could define an extended class like this:

class my_driver #(WIDTH = 8) extends uvm_driver #(transfer_data);

my_driver has now another parameter which depends on the application.