SVA for checking clock frequency

when i searched for examples for how to write assertions for checking clock period or frequency, i came across assertions like this,
property clk_chk(int clk_period)
int start_time
(`1,start_time=$time)|=>($time-start_time==clk_period);
endproperty

I understand the logic. What i dont understand is, what does that `1 indicates? what variable is supposed to be true for assignment to be executed? Could someone clarify on this, please?

In reply to Muthamizh:

The '1 means boolean expression that is always true. So the antecedent is true every clock cycle and unconditionally save the start_time with the current time.

In reply to dave_59:

Thank you so much. I am getting it. Is there any other way to check clock frequency, if you could suggest me, please?

In reply to Muthamizh:

It is a good apprach to check clock frequency using asertions. Only the property you were showing is not realistically.
What you need is clock signal which is running faster as the clock you want to evaluate. This clock samples the signal under consideration.

In reply to Muthamizh:

You can use $period. But it depends on what you want to check about the clock frequency.

In reply to dave_59:

Actually, im integrating SPI and APB vip’s. there i want to check the spi clock frequency sck with respect to system clock. How to do that? Can you please help me out? because im still confused.

In reply to Muthamizh:

and one more doubt is, this checks only the clock period, right? how can we check the clock frequency directly?

In reply to Muthamizh:

You have simply to divide the perios to get the frequency …

In reply to chr_sue:

ok. Thank you so much for the solution.