SPI DUT UVM Template Compile Error

Hello All,

I am following an example from Use_models_tb_build_tbx_uvm.tgz. Its an SPI DUT with SPI and APB Bus as its agents.
After compilation, the tool reports the following error

Error-[SE] Syntax error
Following verilog source has syntax error :
token ‘apb_agent’ should be a valid type. Please declare it virtual
if it is an Interface.
“./spi_env.svh”,
36: token is ‘;’
apb_agent m_apb_agent;

The tool I am using :

  • TOOL INFO

  • Novas: 2010.07
  • VCS-MX: vcs-mx_vE-2011.03-SP1
  • DVT: 3.0.0

Has anyone got this error? Can someone please shade some light on this?

Thanks,

The Makefile supplied for this example works for Questa, since you are working with VCS it look to me like you have a compilation issue due to a re-write of the Makefile. The most likely cause of the problem is that you have not compiled the package for the apb_agent before trying to compile the env package.

I am also getting same issue tool version : vcs 2012.09
in my compile list file ‘apb_agent’ is just before ‘apb_env’ …
still i get this type of error…
Error-[SE] Syntax error
Following verilog source has syntax error :
token ‘apb_master_uvm’ should be a valid type.
Please declare it virtual if it is an Interface.
“top_env.sv”, 16: token
is ‘;’
apb_master_uvm apb_mst_agent;

please let me know how to get rid of this issue