Set_report_id_verbosity not working as expected

Hi,

I am running a UVM TB with set_report_id_verbosity where i set the id and verbosity.I dont see it is getting picked up and the message is not printing. Can any one tell me what is wrong here?

Settings inside start_of_simulation_phase

env.set_report_id_verbosity (“test_env”, UVM_HIGH);

Actual messsage in env

`uvm_info (“test_env”, “Demonstrating UVM_DEBUG message from my_env”,UVM_HIGH);

In reply to rag123:

You actual message executes in the connect_phase before your setting in the start_of_simulation_phase.