Seq_item_port in uvm_driver

Hi All,

There are two type of tasks to get packet from seq_item_port, one is “get” and another is “get_next_item”. These two look like same. Please let me know what is the difference between them?

One more thing, while sending response back to sequence from driver, res(seq_item_port.put(rsp)) is not created in driver as sequence_item is created in sequence and sent to driver(`uvm_do…), how does it work?

Please reply.

Regards,
Rahul