Scoreboard monitor TLM connection

In UVM the monitor and scoreboard will be connected through TLM analysis port. can i connect any other TLM port.

In reply to uvmsiva:

You can do that, but it will cause serious problems. The analysis port has 2 capabilities which are very important:
(1) You can connect the analysis port to more than 1 export
(2) the nalysis port is not blocking

Hi Siva,

Only purpose of using analysis port is, it provides flexibility to connect single analysis port with multiple analysis exports or imps.

You can do the same with uvm_*_put_port/imps. But you need multiple put ports to connect to multiple put_imps.

In reply to uvmsiva:

Theoretically you can even use config_db for that. But does it really worth it

If you provide more info why you want to use other ports, we can analyse