Scoreboard compare issue

Hi ,

I am comparing output data from input data.
for input data , i have to store this in to an array of 5.whenever new data will come it will overwrite position from 0 to 5.
I am facing issue by the time output data comes , input data is overwritten.
how to handle this in scoreboard without creating duplicate array or queue.
for example -
input data {0,1,2,3,4}{6,7,8,9,10}
output data { 0, 0,0,0,0}{0,1,2,3,4}

In reply to yoshiko:

What you are describing is a common scenario in scoreboards. UVM provides you the uvm_tlm_analysis_fifo which can be parameterized for any data object. There you should store your data coming from the input side.